Actions

FPGAWeek12Exercise: Difference between revisions

From HacDC Wiki

(Created page with '* Download the Frequency Counter and Frequency Generator reference designs from http://www.xilinx.com/products/boards/s3estarter\/reference_designs.htm * Download the Picoblaze p…')
 
No edit summary
Line 1: Line 1:
* Download the Frequency Counter and Frequency Generator reference designs from http://www.xilinx.com/products/boards/s3estarter\/reference_designs.htm
* Download the Frequency Counter and Frequency Generator reference designs from http://www.xilinx.com/products/boards/s3estarter\/reference_designs.htm
* Download the Picoblaze processor core from http://www.xilinx.com/products/ipcenter/picoblaze-S3-V2-Pro.htm
* Download the Picoblaze processor core from http://www.xilinx.com/products/ipcenter/picoblaze-S3-V2-Pro.htm
* Unzip these projects to your ~/Projects directory (or appropriatlely)
* Unzip these projects & picoblaze source to your ~/Projects directory (or appropriatlely)
* Implementing the projects directly
* Implementing the projects directly
** Each project comes with a .bit file that you can use to program the FPGA starter kit with.  Use impact to program the chip.
** Each project comes with a .bit file that you can use to program the FPGA starter kit with.  Use impact to program the chip.
** On Windows, you can run the install batch scripts to run impact automatically
** On Windows, you can run the install batch scripts to run impact automatically
* Implementing the projects through ISE
* Implementing the projects through ISE
** asdf
** Implementing the frequency counter
*** In the frequency counter folder, there is a pdf.  It is the readme for the project.  It will be your friend - it details how to quickly run the project, setup the ISE project, design details for the hardware and picoblaze software, and some more project ideas.
*** In ISE, create a new design called "s3e_ref_freq_count" in your ~/Projects directory.
*** Add the existing .vhd and .ucf sources from the frequency counter reference design folder that you unzipped
*** Add the existing .vhd source for kcpsm3.vhd from the picoblaze VHDL source folder
***
*** ASDF
*** ASDF
*** ASDF
** asdf
** asdf
** asdf
** asdf

Revision as of 01:01, 31 December 2009

  • Download the Frequency Counter and Frequency Generator reference designs from http://www.xilinx.com/products/boards/s3estarter\/reference_designs.htm
  • Download the Picoblaze processor core from http://www.xilinx.com/products/ipcenter/picoblaze-S3-V2-Pro.htm
  • Unzip these projects & picoblaze source to your ~/Projects directory (or appropriatlely)
  • Implementing the projects directly
    • Each project comes with a .bit file that you can use to program the FPGA starter kit with. Use impact to program the chip.
    • On Windows, you can run the install batch scripts to run impact automatically
  • Implementing the projects through ISE
    • Implementing the frequency counter
      • In the frequency counter folder, there is a pdf. It is the readme for the project. It will be your friend - it details how to quickly run the project, setup the ISE project, design details for the hardware and picoblaze software, and some more project ideas.
      • In ISE, create a new design called "s3e_ref_freq_count" in your ~/Projects directory.
      • Add the existing .vhd and .ucf sources from the frequency counter reference design folder that you unzipped
      • Add the existing .vhd source for kcpsm3.vhd from the picoblaze VHDL source folder
      • ASDF
      • ASDF
      • ASDF
    • asdf
    • asdf
    • asdf
    • asdf
    • asdf